Senin, 20 Desember 2010

Harga tiket final AFF 2010

PSSI telah menaikkan harga tiket untuk pertandingan leg kedua final AFF Suzuki Cup 2010 melawan Malaysia yang berlangsung di Stadion Utama Gelora Bung Karno Jakarta pada 29 Desember mendatang.

Tiket final AFF Suzuki Cup 2010 ini termurah seharga Rp75 ribu di kategori I, atau naik 50 persen dri harga semula Rp50 ribu. Sedangkan termahal untuk kategori VVIP yang mencapai Rp1 juta. Dengan demikian, kategori ini mengalami kenaikan 100 persen.

Sementara tiket kategori II dibandrol seharga Rp150 ribu, Rp200 ribu untuk kategori I, Rp350 ribu untuk kategori VIP Timur, dan Rp500 ribu di kategori VIP Barat.

Ketua umum PSSI Nurdin Halid mengatakan, kenaikan harga tiket itu sudah dibicarakan dengan panitia lokal [LOC]. Menurutnya, kenaikan tiket ini masih dalam tahap yang wajar.

“Untuk pertandingan final nanti, tiket yang dicetak mengalami peningkatan. Ketika semi-final, tiket yang dijual hanya 71 ribu. Tapi untuk final naik menjadi 77 ribu,” ujar Nurdin.<script type="text/javascript" src="http://ad.doubleclick.net/adj/gna.id/level2;tile=2;sz=160x600;ord=682353?area=2l&pos=2&ord=682353"></script>

“Jumlah ini dinaikkan karena tingginya animo masyarakat yang ingin menyaksikan pertandingan secara langsung di stadion. Sedangkan tiket yang dijual untuk kategori VVIP tidak banyak.”

Sedangkan ketua LOC Joko Driyono menyatakan, penjualan voucher tiket baru akan dimulai pada 23 Desember dan berakhir 27 Desember. Sementara tanggal 28 Desember hanya dikhususkan untuk menukar voucher.

“Dengan demikian, saat pertandingan sudah tidak ada penjualan tiket lagi. Pengamanan untuk hari pertandingan jauh lebih ketat. Hanya pemilik tiket saja yang bisa masuk ke kawasan jogging track di stadion,” kata Joko.

“Masyarakat akan diberi batas maksimal pembelian tiket. Setiap satu orang hanya bisa membeli maksimal lima lembar tiket.”

Mengenai mekanisme penjualan tiket, ada dua cara. Khusus tiket VVIP dan VIP, pembelian hanya bisa dilakukan melalui online, yakni di www.myticket.co.id dan rajakarcis.com. Sedangkan Kategori I hingga VIP Barat dilakukan melalui 20 loket yang tersebar di kawasan Gelora Bung Karno.

Minggu, 19 Desember 2010

Indonesia Vs Malaysia tidak boleh gugup

Pelatih tim nasional Indonesia, Alfred Riedl mengingatkan anak asuhnya tidak boleh gugup di final Piala AFF 2010. Tim Merah Putih akan bertemu Malaysia pada 26 dan 29 Desember 2010.

"Final tidak boleh gugup seperti di 20 menit akhir pertandingan hari ini (lawan Filipina)," kata Riedl dalam keterangan persnya di Stadion Utama Gelora Bung Karno, Jakarta.

Indonesia pada final pertama akan bertandang ke Malaysia. Firman Utina dkk akan berangkat ke negeri Jiran pada 24 Desember 2010.

"Ini final antara tetangga. Kami memang menang 5-1 di babak grup, tapi seperti yang sudah saya bilang kemenangan itu terlalu besar. Pertandingan akan berlangsung ketat. Peluang 50-50," ujar Riedl.

Riedl menambahkan, menghadapi Malaysia di final bukan laga yang mudah. Sebab, Malaysia saat ini berubah menjadi tim kuat setelah menyingkirkan Vietnam di semifinal.

"Direktur Teknik PSSI Sutan Harharah sudah di sana untuk melihat permainan lawan," jelas Riedl.

Gonzales Incar Malaysia

Striker Timnas Christian 'El Loco' Gonzales tidak berambisi menjadi top scorer Piala AFF 2010. Yang penting bagi pemain naturalisasi asal Uruguay itu, Indonesia menjadi juara.

El Loco menjadi penentu kemenangan Indonesia saat bertemu Filipina di leg kedua semifinal AFF 2010, Minggu malam kemarin, 19 Desember 2010. Tendangan kerasnya di menit ke-43 tak mampu dibendung oleh kiper Neil Etheridge. 

Ini merupakan gol ketiga El Loco di Piala AFF 2010 dan gol keduanya ke gawang Filipina. Koleksi ini membuat pemain Persib Bandung tersebut menempati posisi pertama daftar top scorer sementara.

"Saya tidak mengincar gelar top scorer pada even ini. Bagi saya, yang penting Indonesia bisa juara," kata Gonzales kepada wartawan di mixed zone, Stadion Utama Gelora Bung Karno.

Soal penampilannya saat bertemu Filipina di leg kedua, El Loco mengaku sempat grogi. Dia tertekan karena dalam laga tersebut, posisi Indonesia belum aman setelah hanya menang 1-0 di leg pertama.

Beruntung, dia akhirnya berhasil bangkit dan mencetak gol kemenangan bagi Indonesia. "Kemenangan ini berkat kerjasama tim," ujarnya merendah.

Kemenangan lawan Filipina membuat Indonesia melaju ke final. Di partai puncak, Tim Merah Putih akan berhadapan dengan Malaysia yang mengalahkan Vietnam dengan agregat 2-0.

Malaysia sendiri sudah pernah kalah 1-5 dari Indonsia saat bertemu di babak penyisihan Grup A. El Loco juga berhasil mencetak satu gol dalam duel ini "Saya juga sudah siap menjebol gawang Malaysia," dia menandaskan.

Ucapan Selamat dari Ferdinand & Fabregas

Sukses tim nasional Indonesia melaju ke final Piala AFF 2010 tidak saja mendapatkan sambutan hangat dari seluruh masyarakat Indonesia. Namun, apresiasi atas kemenangan Tim Merah Putih juga datang dari berbagai penjuru dunia. Salah satunya bahkan datang dari dua pemain kelas dunia seperti gelandang Arsenal Cesc Fabregas dan bek Manchester United Rio Ferdinand. Dua pemain itu mengucapkan selamat atas kemenangan Firman Utina dkk.

"Congratulations to all the indonesian football fans for their win. Enjoy (Selamat kepada seluruh fans Indonesia atas kemenangan mereka. Selamat menikmati kemenangan ini)," kata Fabregas di akun Twitter-nua @Cesc4official.

Ucapan selamat juga datang dari Ferdinand. Juga lewat timeline Twitter-nya, @rioferdy5 mengucapkan selamat atas keberhasilan Indonesia lolos ke final Piala AFF 2010. "Just in the break for Snow White, I see from the twitfam Indonesia have got to the final, congratulations! Ice cream time!! (Saya sedang tak bisa main gara-gara badai salju, saya lihat di twitfam Indonesia melaju ke final, selamat! Saatnya makan es krim!!)"

Sebagaimana diketahui, pertandingan MU lawan Chelsea yang sedianya digelar Minggu malam kemarin, harus ditunda karena badai salju yang hebat.

Indonesia lolos ke final setelah mengalahkan Filipina di Stadion Utama Gelora Bung Karno, Jakarta, Minggu malam, 19 Desember 2010. Pada leg pertama Indonesia menang 1-0 dan di leg kedua, tim Merah Putih kembali memetik kemenangan dengan skor 1-0.

Kamis, 16 Desember 2010

Hasil pertandingan Indonesia Vs Filipina

Indonesia berhasil merebut kemenangan 1-0 atas Filipina di semifinal pertama Piala AFF, Kamis 16 Desember 2010. Bertanding di Stadion Utama Gelora Bung Karno, Senayan, Jakarta, gol tunggal Indonesia dicetak Cristian Gonzales di babak pertama.

Skor ini cukup mengecewakan mengingat tim Merah Putih menguasai pertandingan. Namun, jadi modal penting buat Indonesia untuk melaju ke leg kedua semifinal Pala AFF pada 19 Desember mendatang di Stadion yang sama.
Sesudah unggul 1-0 di babak pertama, Indonesia juga masih tampil dominan di paruh kedua. Tepat di menit 51, tim Merah Putih sudah bisa mencetak peluang emas pertamanya lewat tendangan bebas Firman Utina dari luar kotak penalti.
Empat menit kemudian, kembali Indonesia mengancam lewat striker Cristian Gonzales yang adu sprint dengan satu defender Filipina di depan jala yang sudah kosong ditinggalkan defender lainnya. Namun Gonzales 'kalah' dan hanya menghasilkan sepak pojok.

Masuknya Arif Suyono di menit 60 menggantikan Oktovianus Maniani juga menambah daya gedor Indonesia. Hanya tiga menit pasca menginjakkan kaki di lapangan, Arif bisa merepotkan Azkals -julukan Filipina- dengan teknik mencuri bola dan merangsek masuk pertahanan lawan. Sayangnya peluang ini masih gagal jadi gol.

Masuk menit 70, lagi Arif merepotkan defender Azkals dengan mengotaki serangan yang berbuah kemelut di depan gawang. Kembali, peluang ini gagal menjadi gol.

Filipina bukannya tidak menimbulkan ancaman di paruh kedua. Meski tidak setajam di babak pertama, Azkals nyaris saja menyamakan kedudukan di menit 73 setelah terjadi miskomunikasi antara kiper dan defender Indonesia.

Saat itu kiper Markus Haris Maulana meninggalkan posnya untuk mencegah jalannya bola. Tapi si kuit bundar masih melambung ke arah jala Indonesia dan membuat defender Indonesia, Zulkifli, turun ke mistar gawang untuk membuang bola.

Itu menjadi peluang terbaik Azkals sepanjang babak kedua. Sedangkan Indonesia meski  mencetak beberapa peluang lagi, tidak ada satu pun yang berbuah gol. Skor pun bertahan 1-0 untuk kemenangan Indonesia.

Line Up Kedua Tim

Indonesia: Markus Haris Maulana; M Nasuha (Beny Wahyudi'84), Zulkifli Syukur, Maman, Hamka Hamzah; Firman Utina, Ahmad Bustomi, Oktovianus Maniani (Arif Suyono'60), M Ridwan; Irfan Bachdim (Bambang Pamungkas'67), Cristian Gonzales.

Filipina: Neil Leonard Dula; Robert James Dazo Gier, Anton Edward, Roel Jimena, Jason Nicolas Mari Dantes; James Younghusband, Nestorio Braga, Ian Bayona Araneta (Emelio Caligdong'59), Ray Anthony Pepito; Christopher Greatwich, Philip Younghusband

Komentar Presiden

Presiden Susilo Bambang Yudhoyono (SBY) menghadiri langsung pertandingan sepakbola antara Tim Nasional Indonesia melawan Filipina di Stadion Utama Gelora Bung Karno (SUGBK) yang berhasil dimenangkan Tim Merah Putih dengan skor 1:0.

Presiden SBY yang menonton bersama Ibu Negara, Ani Yudhoyono, dan putra bungsunya Edhie Baskoro Yudhoyono, serta para menterinya itu langsung mengomentari hasil kemenangan Timnas Indonesia.
 
"Bagus-bagus, saya lihat tim kita cukup agresif. Kemudian lebih banyak berinisiatif," ujar Presiden SBY di Stadion Utama GBK, Jakarta, Kamis 16 Desember 2010.

Sedang mengenai kemenangan satu gol untuk Timnas Indonesia, Presiden SBY menilai, satu gol juga sudah bagus. Namun, ia berharap, untuk pertandingan yang akan datang Timnas Merah Putih bisa mencetak gol lebih banyak lagi.

Rabu, 15 Desember 2010

Loket tutup, Fans mengamuk

Ketua Panitia Lokal (LOC) Piala AFF 2010, Joko Driyono tak ingin menyalahkan siapapun atas kericuhan yang terjadi pada penjualan tiket, Selasa 14 Desember 2010 sore. Menurutnya, kejadian tersebut hanya bersifat situasional saja.

"Kejadian ini bukan karena miskomunikasi, tapi situasional saja," kata Joko kepada wartawan di kantor PSSI, Senayan, Selasa, 14 Desember 2010.

Menurut Joko, pihaknya sebenarnya telah mencoba berempati dengan perjuangan para pembeli yang telah mengantre sejak subuh. Karena itu, panitia memutuskan untuk membuka loket dua jam lebih awal.

"Penjualan tiket harusnya dilakukan sejak pukul 12.00 WIB hingga 19.00 WIB. Namun karena kami berempati dengan para suporter maka kami membukanya mulai pukul 10.00 WIB. Cara penutupannya saja yang terlihat tak berempati," kata Joko.

Sekitar seratus pembeli sempat mengamuk saat panitia menutup loket  di pintu X SUBGK. Mereka lantas beramai-ramai mendatangi kantor PSSI dan meminta agar pintu loket kembali dibuka.

Calon penonton yang tak puas langsung berteriak-teriak di depan kantor PSSI. Mereka juga memaksa untuk masuk dan bertemu dengan pengurus PSSI dan wakil dari panitia lokal.

Suasana baru mereda setelah Joko yang baru saja melakukan wawancara live di halaman PSSI meminta pintu loket dibuka kembali.

Joko juga mengatakan kalau pihaknya tak mungkin menambah jumlah loket untuk menampung animo penonton. Menurutnya, langkah itu justru berpotensi membuat jumlah penonton bakal melebihi kapasitas SUGBK.

"Kalau loket dibuka lebih dari dua akan sulit untuk melakukan sinkronisasi dalam waktu yang singkat. Akibatnya, jumlah penonton bisa jadi melebihi kapasitas SUGBK. Kita fleksibel saja," kata Joko.

Neil Etheridge waspadai Timnas

Kiper Tim Filipina, Neil Etheridge, menyatakan timnya butuh persiapan lebih untuk menghadapi Indonesia dalam semifinal Piala AFF 2010. Sebab, walaupun bertindak sebagai tuan rumah, Filipina tidak bermain di kandangnya sendiri.

"Suporter Indonesia akan sangat mempengaruhi tim kami, tapi kami akan berusaha tetap fokus malam ini," kata Neil Etheridge, saat ditemui VIVAnews, di Hotel Sultan, Kamis 16 Desember 2010.

Meski demikian, Neil menyesalkan pertandingan yang harus digelar di Stadion Utama Gelora Bung Karno. "Saya harapkan pertandingan ini di Filipina," ujarnya.

Selain faktor penonton, Neil mengaku timnya akan mengantisipasi permainan para ujung tombak tim Indonesia. Selain itu, Filipina juga akan mengantisipasi pola permainan kolektif dari tim Indonesia.

"Permainan tim (Indonesia) sangat bagus dan kami akan sangat antisipasi striker Indonesia yang mempunyai skill individu bagus," ujarnya.

Burung Garuda diganti logo Kucing

Menteri Pendidikan Nasional M Nuh merupakan salah satu pihak tergugat kasus logo Garuda pada kaus kesebelasan tim nasional Indonesia yang dilayangkan pengacara publik David ML Tobing. M Nuh mengingatkan, logo Garuda itu untuk menumbuhkan rasa percaya diri.

"Yang mempersoalkan logo Garuda, kalau mau diganti kucing ya monggo silakan. Seperti kurang kerjaan saja," sesal M Muh di kantor Wakil Presiden, Jakarta Pusat, Kamis 16 Desember 2010.

Menurut M Nuh, lambang Garuda itu untuk meningkatkan kepercayaan diri pemain. Lambang yang terpasang di dada kiri kaos timnas itu bukan untuk merendahkan, menghina, atau sejenisnya.

"Ini kan untuk menumbuhkan self confidence. Ok, kalau keberatan ganti saja dengan kucing atau lalat," ujar mantan Menteri Komunikasi dan Informatika ini.

M Nuh menyesalkan adanya layangan gugatan terhadap logo Garuda. Meski termasuk salah satu pihak tergugat, M Nuh tetap tidak akan melewatkan laga semifinal pertama malam nanti.

M Nuh pun tak segan menebak skor. "Mungkin sekitar 3-1. Karena masing-masing sudah mengenal kekuatan dan kelemahan lawan," ujar dia.

David ML Tobing mendaftarkan gugatan itu ke Pengadilan Negeri Jakarta Pusat pada Selasa 14 Desember lalu. Apa sebetulnya alasan dia menggugat kostum Timnas?

"Hal itu melanggar UU Nomor 24 Tahun 2009 tentang Bendera, Bahasa, dan Lambang Negara serta Lagu Kebangsaan," kata David usai mendaftarkan gugatan.

David mengajukan lima pihak sebagai tergugat. Tergugat pertama adalah Presiden, kemudian Mendiknas, Menpora, PSSI, dan produsen kaos timnas, Nike.

Senin, 13 Desember 2010

Rahasia hadapi Philipina

Pelatih Timnas, Alfred Riedl terus mematangkan persiapan timnya jelang duel lawan Filipina, 16 Desember 2010. Pada latihan pagi tadi, Riedl pun menyiapkan jurus jitu mengantisipasi serangan balik Azkals.

"Kami mematangkan latihan taktik hari ini. Baik ofensif maupun defensif, karena pertandingan sudah semakin dekat," kata Riedl kepada wartawan di Lapangan Timnas, Senayan, Jakarta, Selasa, 14 Desember 2010.

"Saat memegang bola, kami akan memanfaatkan kesempatan sebaik mungkin dan kami juga berlatih untuk menghadapi serangan balik yang cepat," sambung pelatih asal Austria itu.

Filipina sempat mendapat kritik dari pelatih Vietnam, Henrique Calisto. Pelatih asal Portugal tersebut menilai Filipina bermain terlalu defensif saat mengalahkan timnya 2-0 di penyisihan Grup B beberapa waktu lalu.

Vietnam memang tampil mendominasi saat bertemu Filipina. Namun kokohnya pertahanan tim lawan membuat tuan rumah tak mampu menjebol gawang Filipina yang dikawal oleh Neil Etheridge.

Sebaliknya dua serangan balik Filipina justru berbuah gol. Masing-masing dicetak oleh C Greatwitc menit ke-38 dan Phil Younghusband menit ke-79'.

Strategi yang sama juga diterapkan oleh Filipina saat bertemu Myanmar di partai pamungkas. Dalam duel yang berakhir 0-0 ini, Myanmar juga tampil mendominasi namun nyaris kebobolan lewat serangan balik Filipina.

Meski mendapat kritik, Filipina sepertinya tak ambil pusing. The Azkals berniat untuk tetap mempertahankan gaya bermainnya saat bertemu Indonesia di semfiinal Piala AFF, 16 Desember dan 19 Desember 2010.

"Kami sudah diberitahu tentang gaya Filipina. Saya rasa kami tahu lawan, bahkan sangat mengenal.Vietnam kalah dari Filipina karena Filipina sukses melakukan dua serangan balik yg menghasilkan gol," kata Riedl.

Indonesia akan berhadapan dengan Filipina sebanyak dua kali. Laga pertama 16 Desember dan laga kedua 19 Desember 2010. Kedua duel ini digelar di Stadion Utama Gelora Bung Karno (SUGBK), Senayan.

Kim Jeffrey Kurniawan

Kim Jeffrey Kurniawan, pemain keturunan Jerman-Indonesia, dalam waktu dekat akan menyusul dua rekannya, Irfan Bachdim dan Cristian Gonzales, untuk menjadi pemain naturalisasi di tim nasional Indonesia.

Selanjutnya, pemain kelahiran Muhlacker Stuttgart, Jerman, 23 Maret 1990 ini akan langsung bergabung dengan salah satu klub di Indonesia, yakni Persema Malang. Tapi, statusnya masih magang, lantaran ia belum mendapatkan paspor Indonesia.

"Tentu kami menyambutnya dengan suka cita, karena proses menjadikan Kim sebagai WNI sudah disetujui Menkum dan HAM, dan selanjutnya Persema akan langsung mengontraknya, karena memang sudah dipersiapkan sejak lama," kata Manajer Persema Malang, Asmuri, kepada VIVAnews.com, Selasa, 14 Desember 2010. "Kami mendapat kabar itu dari Badan Tim Nasional, bahwa paspor Kim sudah bisa turun paling lama bulan Januari 2011 mendatang,"
Diakui Asmuri, pada awalnya orang tua Kim berkeberatan. Tapi, akhirnya mereka meluluskannya karena keinginan Kim begitu besar untuk bergabung dengan skuad Merah Putih.

"Waktu di Jerman kami berbicang dengan orang tua Kim yang memang berat melepas anaknya itu. Tapi Kim dengan tegas di hadapan orangtuanya menyatakan ingin menjadi WNI dan akan segera memperkuat Persema."
Mengenai cedera engkel yang di dera Kim, Asmuri tidak mempersoalkan, karena Kim sudah sejak lama melakukan terapi secara rutin selama masih magang di Arema. "Kim sudah pulih setelah menjalani terapi yang cukup panjang,"
Asmuri berharap Kim bisa bermain maksimal dan mempersiapkan diri dengan berlatih bersama anggota tim lain sebelum memasuki musim II Indonesia Super League (ISL) 2011.

"Dia sangat menyukai Indonesia, teruma Malang. Kami yakin Kim bisa bermain baik. Soal apakah akan masuk pelatnas atau tidak, itu nomor dua. Yang terpenting Kim sekarang resmi berstatus WNI," jelas Asmuri.

Pemain yang diawasi

Pada laga semifinal nanti, pemain naturalisasi di tim nasional Indonesia, Christian 'El Loco' Gonzales akan menjadi pusat perhatian para pemain Filipina di semifinal Piala AFF, 16 & 19 Desember 2010.

Filipina mendapatkan bocoran kemampuan El Loco dari mantan presiden Federasi Sepakbola Filipina (PFF), Johnny Romualdez. Pria yang kini menjadi Wakil Presiden Federasi Sepakbola ASEAN (AFF) ini menjadi supervisor AFF saat Indonesia menggelar laga penyisihan Grup A di Stadion Utama Gelora Bung Karno, Senayan Jakarta.

“Pemain Indonesia yang harus diwaspadai tentu Gonzales,” kata Romualdez kepada PhilStar.
Atas masukan Romualdez ini, pelatih Filipina, Simon McMenemy bukan mustahil akan menginstruksikan para pemainnya untuk mengawal ketat El Loco.

"Ia punya fisik bagus dan berpengalaman. Gonzales tak pernah lama-lama memainkan bola. Ia juga selalu menjadi target bola-bola lambung karena sangat bagus saat duel di udara," lanjutnya.

El Loco (34 tahun) menjadi WNI setelah mendapatkan paspor Indonesia sejak 1 November lalu. Di timnas, pria dengan 4 anak ini menjadi pemain tertua. Sedangkan pemain termuda disandang Oktovianus Maniani, 20 tahun.

"Tapi, saya yakin bek tengah kami seperti Aly Borromeo dan Rob Gier yang sangat tangguh di udara bisa menjinakkannya," ucap Romualdez.

El Loco telah mencetak dua gol di ajang Piala AFF 2010. Tapi, ancaman Filipina sebenarnya bukan hanya El Loco.
Karena para kemampuan para penyerang Indonesia sangat merata. Hal ini ditunjukkan dengan kemampuan Muhamad Ridwan, Arif Suyono, Irfan Bachdim, Firman Utina, Oktovianus Maniani dan Bambang Pamungkas yang juga telah mengemas 2 gol.

Sabtu, 11 Desember 2010

Ian Rush dukung Indonesia

Legenda sepakbola Liverpool, Ian Rush, tak lupa memberikan prediksi menjelang laga semifinal Piala AFF antara Indonesia versus Filipina. Ian Rush memprediksikan Merah Putih akan lolos ke final. 

Rush punya alasan menjagokan Indonesia akan memenangkan duel semifinal Piala AFF pada Kamis 16 Desember dan Minggu 19 Desember 2010 mendatang. Tak diberinya izin Filipina menggelar laga kandang menjadi alasannya.

Ya, duel semifinal antara Indonesia kontra Filipina akan digelar dua kali di Stadion Utama Gelora Bung Karno (SUGBK) Jakarta karena Filipina dianggap tak mempunyai lapangan yang layak saat ini.

"Indonesia memiliki kans yang besar melaju ke final. Dengan dua pertandingan di kandang sendiri tentu merupakan keuntungan yang luar biasa," ujar Ian Rush usai meninjau coaching clinic yang diselenggarakan The Academy Liverpool Football Club di Senayan, Sabtu, 11 Desember 2010.

Ian Rush sangat yakin dukungan penonton Indonesia yang akan menyerbu SUGBK akan menambah semangat juang Ahmad Bustomi cs. Sebaliknya, teror penonton tuan rumah akan mempengaruhi mental Filipina.

"Semangat serta dukungan para pendukung tuan rumah akan menjadi pemain ke 12 yang akan sangat membantu timnas Indonesia" ujar Ian Rush.

Indonesia harus menang, Irfan Bachdim

Kemenangan harus terus diraih Indonesia untuk juara. Dan itu diperlukan kerja sama tim yang baik agar bisa mencapainya. "Jika ingin ke final, kita harus memenangi semua pertandingan," tutur Irfan Haarys Bachdim, pemain naturalisasi keturunan Belanda di Jakarta.

Irfan tak mempersoalkan perihal pertandingan Indonesia melawan Filipina yang kedua leg pada 16 dan 19 Desember nanti digelar di Stadion Utama Gelora Bung Karno, Senayan, Jakarta. "Yang penting kami memenangi setiap laga yang dimainkan. Dengan begitu kami berkesempatan melangkah ke final," tutur pria kelahiran Amsterdam, Belanda, 11 Agustus 1988.

Leg pertama semifinal Piala AFF 2010 antara Indonesia dan Filipina sedianya akan digelar di Filipina. Namun karena stadion di Filipina tak memenuhi standar, maka pertandingan akan dipindah ke Jakarta. "Kesulitannya adalah tak ada satu stadion pun yang memenuhi syarat sesuai yang dimintakan untuk sebuah pertandingan internasional," jelas Sekretaris Jenderal Persatuan Sepak Bola Seluruh Indonesia (PSSI) Nugraha Besoes.

Filipina menjadi tim kejutan dalam ajang sepakbola Piala AFF. Pada babak penyisihan grup B secara mengejutkan Filipina menaklukkan juara bertahan sekaligus tuan rumah Vietnam dengan skor 2-0. Meski menjadi runer up grup B, Filipina menjadi satu-satunya tim di grup B yang tak terkalahkan.

Pertandingan yang menentukan


Pertandingan pada laga pertama yang akan dilalui Indonesia pada AFF 2010 nanti 1 Desember 2010 akan menjadi partai yang sangat menetukan, setidaknya dengan kemenangan mampu membuka jalan buat timnas untuk lolos ke babak selanjutnya.

Pada senin pagi(29/11) usai menjalani latihan di Lapangan Timnas, Bepe berkomentar "Pertandingan pertama sangat penting. Hal itu, bisa menjadi tolok ukur sebuah tim lolos atau tidak ke babak selanjutnya. Saya berharap Indonesia bisa mendapatkanya ketika lawan Malaysia nanti.

"Kendati persiapan kurang maksimal, juga dengan laga uji coba yang tidak efektif, namun semuanya itu tidak bisa dijadikan alasan. Sebagai pribadi dan tim kami harus selalu siap," tambah Bepe.

"Saya optimis Indonesia bisa meraih kemenangan pada laga pertama. Dalam catatan sejarah, kurun waktu 10 tahun belakangan Indonesia masih unggul (lebih banyak mengantongi kemenangan-Red) lawan Malaysia. Saya sangat berharap hal itu bisa terwujud, karena kemenangan pertama menentukan langkah selanjutnya," ungkap Bepe. 

Sementara itu, merespon ketatnya persaingan di lini depan timnas, pemain Persija Jakarta itu mengatakan, tak menjadi masalah jika dirinya harus menghuni bangku cadangan. Tugas pemain adalah menyiapkan diri dengan sebaik mungkin, namun keputusan tetap berada di tangan sang pelatih.

"Persaingan itu penting terjadi di dalam skuad agar pemain juga termotivasi. Buat saya tak masalah jika saya pada akhirnya harus berada di bangku cadangan. Saya sangat menghormati keputusan pelatih, karena ia yang menentukannya," terang Bepe.

Persiapan jelang semifinal

Prediksi bakal tingginya animo penonton menyaksikan laga semifinal antara Indonesia kontra Filipina, membuat panitia lokal (LOC) mengambil kebijakan mencetak lebih banyak tiket. Tak hanya itu, pengamanan juga bakal ditingkatkan. 

Peningkatan kualitas dan tingginya antusiasme penonton, kata Sekjen PSSI, Nugraha Besoes, menjadi dua indikator yang menyebabkan LOC menilai perlu mencetak lebih banyak tiket.

"Terkait kelangsungan dua laga semifinal antara tim tuan rumah Indonesia dengan Filipina, LOC AFF Suzuki Cup Indonesia memutuskan untuk menaikkan ketersediaan tiket sekaligus harganya," ujar Besoes dalam pertemuan Komite Eksekutif PSSI, di Hotel Sultan, Senayan, Jakarta, Jumat (10/12) petang. 

Lantaran tingginya animo itu, LOC juga mengajak dan menghimbau pencinta sepak bola Indonesia untuk melakukan pemesanan tiket jauh-jauh hari. LOC mulai membuka penjualan melalui online, loket-loket di Stadion Utama Gelora Bung Karno (SUGBK), dan beberapa gerai yang ditentukan, Senin (13/12). "Akan lebih baik jika menghindari pembelian tiket pada hari pertandingan atau go show," jelas Nugraha Besoes.

Menyoal pengamanan, 1500 aparat kepolisian dibantu 400 orang dari pihak swasta siap diturunkan. Pengamanan ketat bakal diberlakukan. Hanya penonton yang sudah memiliki tiket atau sudah memesan tiket, yang diperbolehkan masuk ke lingkaran stadion.

"Untuk pengamanan babak semifinal ini, LOC mengerahkan sekitar 1500 petugas dari personil kepolisian plus sekitar 200 hingga 400 orang dari pengamanan swasta," pungkas Besoes.

Harga Tiket dan Giant Screen

Ketua LOC, Joko Driyono, mengatakan sebanyak 70.725 lembar tiket akan dicetak buat pertandingan Indonesia versus Filipina, 16 dan 19 Desember mendatang. Artinya, tiket dicetak 10 ribu lebih banyak dibanding pada babak penyisihan.

Harga tiket untuk kelas tertinggi, yakni VVIP, dinaikan dari semula Rp 250.000 menjadi Rp 500.000. "Tiket VVIP ini dicetak sangat terbatas, hanya untuk 300 sampai 400 seat," jelas Joko Driyono.

Harga tiket VIP Barat dinaikkan dari semula Rp 200.000 menjadi Rp 350.000. Untuk VIP Timur, yang sebelumnya disebut kategori I, dinaikan dari Rp 150.000 menjadi Rp 250.000. Tiket kategori I, dari semula Rp 100.000, menjadi Rp 150.000. Kategori II, di belakang gawang, dari semula Rp 75.000 menjadi Rp 100.000. Terakhir, tiket untuk tribun harganya Rp 50.000. Tak ada kenaikan harga untuk kelas paling buncit ini.

Sementara itu, untuk mengantisipasi lonjakan suporter yang datang, LOC bakal memasang dua layar besar di sekitar SUGBK. "Kami akan memasang setidaknya dua layar besar di jalur joging untuk mengantisipasi calon penonton yang tidak mendapat tiket," pungkas Joko.

Paulo Camargo Latih Tangerang United

Mantan pelatih Sao Paulo FC, Paulo Camargo resmi menukangi Tangerang United. Meski beda "kasta", tak ada keraguan sedikit pun di hatinya. Memanjukan sepak bola Indonesia adalah impiannya. 

"Saya datang ke Indonesia dengan keyakinan besar dapat memberikan kontribusi positif dalam sepak bola di Indonesia. Saya datang bukan hanya untuk membina pemain yang sudah punya nama dan kemampuan, namun lebih dari itu saya mau melatih pemain muda agar memiliki mental serta kemampuan menjadi pesepakbola handal kelak," ujar Paulo, Jumat (10/12).

"Indonesia memiliki banyak pemain berbakat. Mereka hanya perlu dipoles dan terus diberikan kesempatan bermain. Dengan begitu, tennik permainan dapat meningkat," tambah Paulo.

Layaknya warga Brasil, Paulo kecil sudah mengemari sepak bola sejak dini. Ketika banyak anak seusiannya ingin menjadi pemain handal, justru Paulo punya cita-cita berbeda. Pria asal Brasil 34 tahun lalu itu, ingin sekali menjadi pelatih profesional.

Lantaran itu, ketika kuliah (1985-1990), Paulo memilih jurusan Physical Education. Keseriusannya menjadi pelatih ditunjukkan dengan mengikuti football trainers course pada 1989-1990.

Paulo memulai kariernya sebagai football physicall trainer pada 1989 di Monte Negro FC. Selama kurun waktu 1989 hingga 1997 dia berpindah-pindah tempat. Baru pada 1998 dia mendapat kesempatan menjadi pelatih Sao Paulo FC.

Kini, Paulo berada di Indonesia dan mengarsiteki Tangerang United. Dari Brasil ke Tangerang, merupakan pilihan berat. Bagaimana kiprahnya? Kita lihat saja ke depan.

Pikal antisipasi Filipina

Asisten Pelatih Timnas, Wolfgang Pikal mengungkapkan, Indonesia harus fokus menghadapi laga semifinal kontra Filipina, di Stadion Utama Gelora Bung Karno, Jakarta, 16 dan 19 Desember mendatang. Pasalnya, tim lawan punya pelatih dan materi pemain baru. 

“Kami harus fokus menghadapi laga semifinal nanti. Filipina sekarang punya semangat baru. Mereka kini didukung pelatih baru dan delapan pemain naturalisasi. Karena itu, kami tak boleh memandang remeh lawan,” ujar Pikal kepada wartawan.

“Persiapan akan segera kami lakukan. Jumat besok, kami akan mematangkan fisik dan taktik untuk menghadapi laga semifinal. Kami juga akan melakukan rotasi pemain pada latihan nanti. Hal itu dilakukan agar semua pemain siap menghadapi Filipina,” tambah Pikal.

Menyoal kekuatan lawan, Pikal menjelaskan, Filipina punya kekuatan yang beda. Tendangan bebas dan sepak pojok mereka sangat berbahaya. Kami akan mematangkan tehnik untuk mengantisipasi hal itu.

Filipina akan menjadi tuan rumah pertama, tanggal 16 Desember. Sementara Indonesia, menjamu Filipina pada laga kedua, 19 Desember mendatang. Kick off kedua partai tersebut akan dilangsungkan pukul 19.00 WIB.

Indonesia akan naturalisasi 5 pemain lagi


Timnas Indonesia akan melakukan naturalisasi beberapa pemain lagi, diantaranya adalah Sergio Van Dijk, Victor Igbonefo, Kim Jefri Kurniawan dan 2 orang pemain lagi.

kelima pemain naturalisasi ini dipersiapkan untuk mengahadapi SEA GAMES dan kualifikasi Piala Dunia.

Ini dilakukan guna mengangkat performa dan kepercayaan diri para pemain nasional, dan menumbuh kembangkan semangat persepakbolaan nasional.

Jumat, 10 Desember 2010

Harga Tiket AFF Suzuki 2010

Panitia Lokal (LOC) akhirnya menetapkan harga tiket babak semifinal Piala AFF 2010 nanti. Keputusan diambil setelah PSSI menggelar rapat tertutup di Hotel Sultan, Senayan, Jumat, 10 Desember 2010.

Indonesia akan bertemu Filipina dua kali pada semifinal Piala AFF 2010. Masing-masing adalah  pada 16 dan 19 Desember 2010. Kedua laga ini akan digelar di Stadion Utama Gelora Bung Karno, Senayan, Jakarta.

Ketua LOC, Joko Driyono mengatakan jumlah tiket yang dicetak sebanyak 70.725 lembar untuk masing-masing laga. Jumlah ini lebih banyak 1.000 lembar di bandang laga yang dilalui oleh timnas di babak penyisihan Grup A.

Mengenai harga tiket, Joko juga sudah bersedia angkat bicara. Menurutnya, kenaikan harga terjadi di hampir semua kategori. Satu-satunya yang tidak mengalami kenaikan harga hanyalah kategori III, yakni Rp 50 ribu.

Harga tiket tertinggi masih untuk kategori VVIP, yakni mencapai Rp500 ribu atau naik Rp100 ribu dari laga sebelumnya. "Tiket VVIP dicetak terbatas, hanya untuk 300 sampai 400 seat," kata Joko kepada wartawan.

Sementara itu, untuk tiket VIP Barat panitia menaikkan harga menjadi Rp350 ribu. Sebelumnya tiket untuk kategori ini dijual dengan harga Rp200 ribu. Sedangkan untuk VIP Timur naik dari Rp 150 ribu menjadi Rp250 ribu.

Tiket kategori I juga naik dari Rp 100.000, menjadi Rp 150.000. Begitu juga dengan kategori II yang berada di belakang gawang. Tiket untuk kategori ini semula dijual Rp 75.000 kini menjadi Rp 100.000.

Mengenai pemesanan sudah bisa dilakukan sejak Senin, 13 Desember 2010. Pemesanan lewat jalur online, maupun datang langsung ke loket-loket pemesanana yang sudah disediakan panitia di area stadion. 

Kenaikan harga dan jumlah tiket yang dicetak menurut Sekretaris PSSI, Nugaraha Besoes cukup wajar. Pasalnya, PSSI katanya sudah tak mendapat subsidi lagi dari AFF untuk menggelar semifinal.  "Saat babak penyisihan grup, kami masih dapat subsidi sebesar 250.000 dolar AS," kata Nugraha.

Pemain Timnas dilarang diwawancara

Pelatih Alfred Riedl melarang para pemain tim nasional Indonesia untuk melakukan wawancara di media. Firman Utina dan kawan-kawan hanya boleh memberikan komentar seusai latihan.

Euforia berlebihan yang dialami timnas Indonesia membuat Riedl mengambil keputusan tegas. Pelatih asal Austria itu tidak ingin pemainnya terganggu konsentrasinya jelang semifinal melawan Filipina, 16 Desember 2010.

Selama ini pemain timnas Indonesia bisa dengan bebas memberikan komentar kepada media, baik di dalam hotel atau pun saat diundang ke stasiun-stasiun televisi. Namun, para pemain sudah tidak bisa melakukan hal itu lagi.

"Pemain tidak boleh diwawancara di hotel, tidak boleh diwawancara di stasiun tv mana pun. Titik. Kami juga akan berusaha menjauhkan media dari hotel, karena pemain jadi sangat terganggu. Kami berharap kalian bisa bekerja
sama," ujar Riedl usai latihan di Lapangan C, Senayan Jakarta, Jumat 10 Desember 2010.

Riedl menegaskan pemainnya bukan segerombolan sirkus yang bisa disuruh-suruh. Mantan pelatih timnas Laos dan Vietnam itu tidak segan-segan untuk mengeluarkan pemain dari tim jika melanggar peraturannya.

"Apa yang terjadi saat ini, pemain sudah seperti sirkus. Ini sudah sangat berlebihan. Kami ingin bekerjasama dengan media, tapi bukan seperti ini caranya. Pemain saya bukanlah seperti kuda sirkus dan anda sutradaranya yang bisa menyuruh mereka melakukan ini dan itu. Itu tidak boleh terjadi. Saya berharap kalian mau bekerjasama," pungkas Riedl.

"Pemain hanya boleh diwawancara saat berjalan dari lapangan ke bus. Jika ada pemain yang melanggar saya akan tendang keluar dari tim," tutup Riedl.

Kamis, 09 Desember 2010

Filipina gunakan SUGBK

Dianggap tidak memiliki stadion yang layak, Filipina akan memainkan leg pertama semifinal Piala AFF 2010 melawan Indonesia di Stadion Utama Gelora Bung Karno, Senayan, Jakarta, pada 16 Desember 2010. Laga ini dianggap sebagai 'partai kandang' untuk Filipina.

Tiga hari kemudian mereka akan kembali ke stadion yang sama untuk jadi tim tamu di leg kedua untuk menghadapi tim Merah Putih yang kali ini jadi tuan rumah. Keputusan ini disayangkan oleh timnas Filipina. Menurut mereka keputusan itu dibuat Presiden Federasi Sepakbola Filipina (PFF) Jose Mari Martinez dengan tidak melihat usaha mereka tembus ke semifinal.

"Sekarang Bapak Martinez menyatakan pada petugas AFF kalau kami, timnas, tidak bisa menggelar laga kandang di negeri kami sendiri setelah kami berjuang dengan keras. Benar-benar membuang kesempatan," ujar pernyataan bersama timnas Filipina seperti dilansir ABS CBN News, Kamis 9 Desember 2010.

Selain itu timnas Filipina yang berjuluk Azkals juga menyesalkan tindakan Martinez yang tidak pernah mengirim dukungan dalam bentuk apa pun. Mulai dari penyisihan Grup B yang berlangsung di Vietnam, hingga akhirnya mereka pulang ke tanah air setelah memastikan satu tiket ke semifinal. Prestasi tembus ke babak empat besar sendiri merupakan rekor setelah mereka tidak pernah lolos dalam 14 tahun.

"Kami tidak pernah menerima satu pun dukungan dari PFF di bawah Bapak Mari Martinez. Tidak telepon atau pun pesan singkat selama penyisihan grup Piala Suzuki (AFF) di Hanoi, Vietnam," keluh tim lagi.

Filipina sebenarnya memiliki dua pilihan venue untuk laga kandang. Pilihan pertama di negara netral dan pilihan kedua yakni kedua leg bisa dilakukan di kandang lawan. Martinez kemudian meminta pada AFF agar Filipina bisa menggelar partai kandang di Jakarta.

"AFF kemudian menanyakan kesiapan PSSI untuk menggelar semifinal pertama," ujar Sekjen PSSI Nugraha Besoes ketika ditanya kronologi pindahnya semifinal Filipina vs Indonesia.

Sesudah berkonsultasi dengan Ketua Umum PSSI, Nurdin Halid, permintaan tersebut disanggupi. Kedua partai semifinal akan digelar di SUGBK, Senayan, Jakarta.

Selasa, 07 Desember 2010

Video: Indonesia 2 vs Thailand 1

Sempat tertinggal 0-1, akhirnya Timnas Indonesia menyingkirkan Thailand pada laga terakhir Grup A Piala AFF di Stadion Utama Gelora Bung Karno, Jakarta, Selasa, 7 Desember 2010.

Thailand sempat memimpin melalui Suree Sukha setelah serangan bertubi-tubi ke Timnas Indonesia selama pertandingan berlangsung. Baru di sepuluh menit akhir pertandingan, Indonesia mampu membalikkan keadaan melalui dua kali penalti Bambang Pamungkas.Pelatih Timnas Indonesia Alfred Riedl mengaku sejak awal pertandingan dirinya memerintahkan Maman Abdurahman dan kawan-kawan untuk bermain sedikit bertahan dan mengandalkan serangan balik. Tapi, strategi itu tidak berjalan dengan lancar.

"Saya memang memerintahkan bermain sedikit bertahan dan mengandalkan serangan balik, tapi hal itu tidak berfungsi. Setelah tertinggal satu gol kami harus menyerang," ujar Riedl seusai pertandingan.

Riedl menegaskan dirinya terpaksa tidak memasukkan nama Firman Utina di line-up permain karena gelandang serang Sriwijaya FC itu sudah mendapat kartu kuning di laga sebelumnya.

"Kami sudah lolos ke babak semifinal, jadi tidak masuk akal jika Firman dimainkan. Dia sudah mendapat kartu kuning. Saya juga terpaksa memainkan Ahmad Bustomi (yang juga sudah mendapat kartu kuning) sebentar dia akhir pertandingan untuk mengisi lini tengah," papar Riedl.

Minggu, 05 Desember 2010

Indonesia Vs Thailand

Pemain yang dipasang sejak awal (starter) tim Indonesia melawan Thailand mulai sedikit terbaca. Hal itu tampak saat tim Merah Putih melakukan latihan pagi di Lapangan C, Senayan, Jakarta, Senin 6 Desember 2010.

Pelatih tim nasional Indonesia, Alfred Riedl menegaskan akan melakukan dua hingga empat pergantian pemain saat menghadapi Thailand pada laga terakhir Grup A Piala AFF di Stadion Utama Gelora Bung Karno, Senayan, Selasa 7 Desember 2010.

Pernyataan tersebut tampak saat Riedl memimpin jalannya latihan tadi pagi. Pelatih asal Austria itu membagi dua tim pada latihan yang salah satunya kemungkinan besar akan menjadi tim inti atau starter menghadapi Thailand.

Susunan pemain tersebut adalah Zulkifli Syukur, M Roby, M Nasuha, Oktovianus Maniani, Tony Sucipto, Eka Ramdhani, M Ridwan, Cristian Gonzales dan Irfan Bachdim. Dengan demikian hanya M Roby, Tony dan Eka yang belum pernah menjadi starter di dua laga terakhir melawan Malaysia dan Laos. Bahkan, Eka belum pernah bermain.

Sedangkan tim lainnya terdiri dari Firman Utina, Ahmad Bustomi, Bambang Pamungkas, Johan Juansyah, Yongki Aribowo, Maman Abdurahman dan Beny Wahyudi.

Seusai latihan, asisten pelatih timnas Indonesia, Widodo C Putro mengisyaratkan susunan pemain pertama yang akan menjadi starter pada laga besok.
"Semua pemain adalah inti, tapi Anda bisa lihat kan dari latihan tadi," kilah Widodo.

Isyarat lain susunan starter yang akan diturunkan besok tampak kala seusai pertandingan Riedl menghampiri M Roby. Ia meminta bek Persisam Samarinda tersebut untuk lebih banyak berkomunikasi di lini belakang. Riedl menggerakkan tangannya sebagai isyarat untuk meminta M Roby lebih banyak berkomunikasi dengan rekan-rekannya.

Tiga pemain berhaya Indonesia

Pelatih  Thailand Bryan Robson telah menyiapkan rumus jitu untuk meredam permainan eksplosif timnas Indonesia. Kedua tim akan bertemu hari Selasa, 7 Desember 2010, pada laga terakhir Grup A di Senayan.

Pelatih asal Inggris ini akan menginstruksikan pemain-pemainnya untuk mengawasi pergerakan tiga pemain Merah Putih.

"Ada tiga pemain yaitu sang kapten, pemain nomor 10 dan 17," ujar Robson usai memimpin latihan timnas Thailand hari Minggu (5/12).

Pemain yang dimaksud Robson adalah sang kapten Firman Utina, winger Oktavianus Maniani dan bintang baru Irfan Bachdim. 

Thailand memang harus meraih tiga poin jika ingin memastikan melaju ke babak semi final tanpa terpengaruh hasil antara Malaysia kontra Laos. Di dua pertandingan sebelumnya Tim Gajah Putih hanya meraih dua poin.

Alfred Riedl telah memastikan akan melakukan beberapa rotasi meski semua punggawa Merah Putih terhindar dari cedera. Ia ingin memastikan semua pemainnya tetap dalam keadaan segar menghadapi babak semi final.

Irfan Bachdim Hafal Indonesia Raya Lewat HP

Pertanyaan nasionalisme mampir ke Irfan Haarys Bachdim, penyerang anyar tim nasional Indonesia tentang pengetahuan akan identitas tanah airnya.

Salah satu indikator nasionalisme bagi pesepakbola yang membela tim nasional terlihat ketika menyanyikan lagu kebangsaan sebelum bertanding. Nasionalisme Irfan dipertanyakan sebagai pemain 'setengah Indonesia' karena keturunan Belanda. Dan pria 22 tahun kelahiran Amsterdam ini menjawabnya lewat nyanyian Indonesia Raya dengan lantang sebelum laga.

"Berapa lama saya menghafal (Indonesia Raya)? Dua hingga tiga jam. Saya menghafalnya di kamar saya. Kebetulan di handphone saya ada lagu Indonesia Raya," kata Irfan yang melakukan debut bersama Tim Merah Putih di ajang Piala AFF 2010 ini.

Tim nasional Prancis sempat dikritik di Piala Dunia 1996 ketika sebagian besar pemainnya tak bisa menyanyikan lagu kebangsaan La Marseillaise. Maklum, timnas Prancis sebagian besar diisi imigran.

Beruntung, hal itu tak terjadi kepada Irfan. Semoga nasionalisme pemain yang kini menjadi pujaan suporter Indonesia ini semakin tebal.

Singapore 2 Myanmar 1

Agu Casmir scored deep into stoppage time as three-time champions Singapore battled back after going behind to hand Myanmar a 2-1 defeat the My Dinh Stadium in Group B of the AFF Suzuki Cup on Sunday evening.

Khin Maung Lwin had given Myanmar the lead in the 13th minute of a drab first half in which neither team shone but a half-time reshuffle by Lions coach Raddy Avramovic swung the balance back in favour of his side in the second 45 minutes.

Aleksandar Duric levelled the score when he tapped in from close range just after the hour. And Agu Casmir scored three minutes into stoppage time to secure the win which put the Lions within touching distance of the semi-finals and sent Myanmar crashing out.

It was little more than the three-time winners deserved after a double change at half-time saw Casmir replace the ineffective Noh Alam Shah while Muhammad Ridhuan made way for Khairul Amri.

Amri's pace down the left flank, in particular, gave Singapore a significant boost, lifting the tempo of a team that had looked flat and devoid of inspiration in the opening 45 minutes.

It was during the first half that Myanmar put their heavy opening game defeat at the hands of Vietnam behind them as Khin Maung opened the scoring.

With just 13 minutes on the clock, the midfielder finished off a fine move that saw the Singapore defence sliced open before Khin Maung scored with a well taken right footed effort.

Singapore rarely threatened, at least until six minutes before the break when defender Baihakki Khaizan somehow managed to put the ball over the bar after Jumaat Jantan's corner found him with time and space to pick his spot.

Avramovic saw enough in the first half to ring the required changes at the interval and the Singapore team that came out for the second half was a different proposition to the tame beast that finished the first.

Casmir should have equalised in the 50th minute when Jumaat's cutback found him in a position to capitalise, only for the striker to push his effort wide of goal.

Less than a minute later, Duric raced through the Myanmar defence before smashing a right foot shot beyond goalkeeper Thiha Si Thu and high into the corner. However, he had already been flagged for offside.

But Singapore were level 10 minutes later when Casmir received the ball from Mohammad Shahril before beating Yan Aung Win and slotting the ball beyond the goalkeeper. From there, Duric was left to roll his effort home.

Despite being in the ascendancy, Singapore still struggled to break down Myanmar's resistance. It nearly got worse in the 69th minute when Yan Paing had the Islanders' hearts in their mouths as his right foot shot from 10 metres out crashed against the crossbar.

But with seconds remaining, Casmir drove the ball home after a lay-off from Duric to end any resistance from Myanmar and improve his side's chances of progressing to the semi-finals.

Sabtu, 04 Desember 2010

Indonesia 6 vs 0 Laos

Despite watching his side put six goals past Laos in the AFF Suzuki Cup on Saturday, Indonesia coach Alfred Riedl still suggested that the result had been anything but easy for his side.

The Indonesians toiled to break down the Laotians early on during the Group A match at Gelora Bung Karno Stadium. But after skipper Firman Utina had given the home side the lead from the penalty spot in the 27 minute, the floodgates opened.

Muhammad Ridwan made it 2-0 before the break and Firman added a second early in the second half before goals by Irfan Bachdim, Arif Suyono and Oktovianus Maniani completed the rout.

Yet Riedl admitted it could have been very different if Kanlaya Sysomvang had not hit the woodwork for Laos in the 10th minute.

"It may have looked like an easy win for us in the end but today's game was not easy. Laos hit the post early on and we had no chances in the first 20 minutes. Winning 6-0 at the end was a good result for us but in the beginning we had a lot of problems," said the Austrian, who had a spell as the head coach of Laos earlier this year.

"It was not easy for the first half-hour but after we went ahead 2-0 or 3-0 then the match became easier. Against such opposition, if you can score goals, then the match is easy but if you cannot, you can struggle.

"I am a little sorry for my friends in Laos because I made a lot friends when I was coaching there. But in football you have no friends, you only have opponents. Later on, maybe we can go for a drink."

The key thing for Riedl was that his side had secured first place and a spot in the last four before their final group match against Thailand.

"The best thing about today is that we have qualified for the semi-finals," he said. "Now we can try to give some of our other players a chance to play in our last game. But we shall see what happens over the next few days before deciding on the team."

"However, we will still play a fair match against the Thais for ourselves and the public. We will try to win the match."

Laos drew 2-2 with Thailand in their opening game but the result against Indonesia brought home the point that they still have much work to do to close the gap on the bigger sides in Southeast Asia.

Said Laos coach David Booth: "We have to try to minimise mistakes against teams like Indonesia, Thailand or Malaysia. Unfortunately tonight we conceded a penalty and then we conceded a bad second goal when we had possession and gave the ball away.

"At 2-0 at half-time, it wasn't going to be easy to come back because we're not that strong, to be fair. But we decided we weren't going to sit back and minimize the damage. We tried to get a goal back and we tried to attack but unfortunately it didn't quite work and we finished quite badly.

"If that early chance had gone in, it would have given us something to fight for. But the penalty for the first goal and then the mistake outside the box cost us badly. We can't afford to do that."

Jumat, 03 Desember 2010

Laos VS Indonesia

Setelah sukses menahan Thailand di laga pertama Grup A Piala AFF 2010, tim nasional Laos berharap bisa memberi kejutan kedua saat melawan Indonesia di Stadion Utama Gelora Bung Karno, Senayan, Jakarta, Sabtu 4 Desember 2010.

Laos tampil mengesankan dengan menahan imbang 2-2 Thailand. Bahkan, tim yang lolos ke putaran final Piala AFF 2010 lewat babak kualifikasi tersebut sempat unggul dua kali saat melawan Thailand.

Kejutan yang sama diincar pelatih timnas Laos, David Booth, saat melawan Indonesia. Meski mengaku sulit mengalahkan Firman Utina dan kawan-kawan, Booth berharap Laos bisa tampil impresif pada pertandingan besok.

"Kami akan menjalani pertandingan melawan Indonesia, Malaysia dan Thailand dengan persiapan yang sama. Saya berharap besok kami bisa tampil seperti pertandingan pertama," ucap Booth dalam konferensi pers di Hotel Sultan, Jakarta, Jumat 3 Desember 2010.

"Saya sudah melihat pertandingan Indonesia (lawan Malaysia), dan menurut saya mereka sama kuatnya dengan Thailand. Kami siap tampil. Semua pemain dalam kondisi terbaik."

Malaysia mendapat intimidasi dari suporter Indonesia pada pertandingan pertama, namun Booth mengaku siap dengan sambutan puluhan ribu suporter tim Garuda.

"Saya rasa kami tidak akan mendapat intimidasi seperti mereka. Namun, jika kami mencetak gol lebih dulu, mungkin kami juga akan mendapat intimidasi yang sama," kelakar Booth.

Jhon van Beukering dibeli Feyenoord

Jhon van Beukering, pemain Belanda keturunan Indonesia, akhirnya memiliki klub setelah dibeli oleh Feyenoord, Jumat 3 Desember 2010. Pemain yang sempat ingin dinaturalisasi oleh PSSI itu dikontrak hingga akhir musim 2010-11.

Van Beukering dibeli oleh Feyenoord untuk memenuhi skuad yang tengah kehilangan strikernya, Sekou Cisse dan Jon Dahl Tomasson karena cedera. Sedangkan striker Fedor Smolov asal Rusia dianggap belum memberi penampilan terbaiknya. Van Beukering kini sudah masuk dalam skuad Feyenoord untuk menghadapi Willem II di lanjutan Liga Belanda akhir pekan ini.

Van Beukering merupakan salah satu pemain asing yang tadinya akan dinaturalisasi untuk memperkuat timnas Indonesia. Peluang itu disambut Van Beukering karena almarhum kakeknya mendambakannya untuk mengenakan kostum Merah Putih.

"Saya ingin mewujudkan impian kakek saya. Dia dari Jakarta dan memimpikan saya bisa menjadi pemain Indonesia," kata Van Beukering beberapa waktu lalu.

Van Beukering akhirnya dipanggil tim Merah Putih ketika akan menghadapi Uruguay di pertandingan persahabatan Oktober silam. Namun karena terantuk peraturan FIFA, pemain berusia 27 tahun ini akhirnya gagal dimainkan.

Saat itu dia dipanggil bersama dengan tiga pemain lain yang juga memiliki darah Indonesia, yakni Sergio van Dijk (striker), Rafael Guilermo Eduardo Maitimo (gelandang serang) dan Tobias Waisapy (bek sayap).

Kamis, 02 Desember 2010

Rio Ferdinand menonton Indonesia Vs Malaysia

Bek Manchester United, Rio Ferdinand ternyata mengikuti jalannya pertandingan Indonesia vs Malaysia yang berakhir dengan kemenangan Indonesia 5-1.

Usai gol Cristian Gonzalez di menit-33, Ferdinand membuat heboh dunia twitter khususnya untuk para tweeps yang berasal dari Indonesia. Ferdinand sempat nge-tweet soal keunggulan sementara Indonesia, 2-1 hingga akhir babak pertama. "Just saw that Indonesia beat Malaysia 2-1, my Indonesian twitfam must be very happy right now!".

Kontan saja puluhan ribu pengikutnya langsung merespon pesan bek timnas Three Lions tersebut dengan retweet atau reply.

Sayangnya, tidak diketahui Ferdinand menonton pertandingan sampai habis atau tidak, juga dengan siapa saja dia menonton siaran langsung itu. Yang jelas, tweet terakhir Ferdinand soal Indonesia vs Malaysia adalah ketika kedudukan 3-1, ketika ia menulis "Wow its now 3-1 to Indonesia!!".

Rabu, 01 Desember 2010

Video Irfan Bachdim saat belum bergabung dengan Arema

Ini adalah video Irfan saat pulang dari Indonesia, saat ditolak oleh Persib dan Persija

Irfan Bachdim

Ini adalah video Irfan Bachdim saat bermain di liga eredivise Belanda

Video Irfan Bachdim diuji 5 tantangan


Ini adalah video Irfan Bachdim saat menerima 5 tantangan yang sangat lucu


Video pertandingan Indonesia VS Malaysia

Timnas Indonesia membuka laga Piala AFF dengan hasil membanggakan. Tim asuhan pelatih Alfred Riedl ini berhasil melibas Malaysia 5-1. Dengan hasil ini, Indonesia sementara memimpin klasemen Grup A.

Bermain di Stadion Gelora Bung Karno, Rabu 1 Desember 2010, Duet striker Christian Gonzales dan Irfan Bachdim langsung menggebrak pertahanan Malaysia. Indonesia terlebih dahulu kecolongan dengan gol yang disarangkan penyerang Malaysia Norshahrul Idlan Bin Talaha.

Namun keunggulan Malaysia tak bertahan lama. Pada menit 22, Indonesia berhasil menyamakan kedudukan menjadi 1-1 lewat gol bunuh diri Mohd Asraruddin Putra Bin Omar.

Gol bunuh diri ini langsung melecut semangat Christian 'El Loco' Gonzales dan kawan-kawan. Terbukti di menit 33, Merah Putih justru berbalik unggul 2-1 lewat gol cantik El Loco.

Memanfaatkan umpan terukur Firman Utina, striker naturalisasi ini berhasil melepaskan tendangan menyusur ke sisi kanan gawang Malaysia yang gagal diantisipasi kiper Mohd Sharbinee Allawee Bin Ramli.

Indonesia kembali menjebol gawang Malaysia lewat gol Muhammad Ridwan di menit 55. Gelandang sayap Sriwijaya FC ini berhasil memanfaatkan bola terobosan Ahmad Bustomi yang sekaligus merubah kedudukan menjadi 3-1 buat keunggulan Indonesia.Arif Suyono yang baru masuk menggantikan M Ridwan menambahi kemenangan menjadi 4-1. Dan gol penutup dicetak oleh Bachdim saat masa injury time.

Menpora lega

 Menteri Negara Pemuda dan Olahraga, Andi Mallarangeng memenuhi janjinya untuk datang menyaksikan laga Indonesia kontra Malaysia di penyisihan Grup A Piala AFF, Rabu 1 Desember 2010.

Datang dengan menggendong tangan kanan yang cedera setelah bermain bola, Andi datang didampingi istrinya, Vitri Mallarangeng, di Stadion Utama Gelora Bung Karno, Senayan, Jakarta.

Beberapa jam sebelumnya, Andi memang menyatakan bakal hadir menyaksikan pertandingan itu. Hanya saja dia belum berani memprediksi skor pertandingan perdana Indonesia di Grup A ini. Ketika akhirnya Indonesia bisa menutup kemenangan 5-1 atas Malaysia, barulah senyum mengembang di bibir Sang Menteri.

"Saya cukup senang tim Indonesia bisa menang di pertandingan pertama ini, kemenangan ini setidaknya bisa melepas dahaga kekalahan bagi pecinta timnas Merah Putih. Apalagi, lawan yang dihadapi bisa dikatakan musuh bebuyutan kita," kata Andi seperti dikutip dari situs resmi Kemenpora.

Di pertandingan itu, Andi juga turut didampingi beberapa pejabat PSSI, termasuk Ketua Umum Nurdin Halid di bangku VVIP. Nurdin sendiri tadinya diperkirakan batal menonton laga Indonesia vs Malaysia karena harus menghadiri wisuda putranya di Australia. Namun, keputusan itu berubah di menit-menit akhir dan Nurdin bisa menyaksikan pertandingan babak pertama saja.

Ucapan selamat dari SBY

Meski tidak menyaksikan secara langsung di Stadion Utama Gelora Bung Karno, Senayan, tak menghalangi Presiden Susilo Bambang Yudhoyono melihat penampilan tim nasional Indonesia. SBY menyaksikan laga tim Merah Putih melawan Malaysia di penyisihan Grup A Piala AFF, Rabu malam 1 Desember 2010, lewat layar kaca.

Seusai laga yang berakhir 5-1 untuk Indonesia itu, Presiden langsung mengucapkan selamat lewat Menpora Andi Mallarangeng yang menonton langsung pertandingan tersebut.

"Pak Presiden menyaksikan pertandingan Piala AFF antara Indonesia melawan Malaysia melalui televisi di Istana," kata Menpora seperti dilansir situs resmi Kemenpora selepas pertandingan.

SBY juga berharap agar semangat dan pola permainan yang tinggi yang telah dipertontonkan dengan bagus dijaga untuk pertandingan-pertandingan selanjutnya. Kepada pemain dan seluruh anggota tim Indonesia diharapkan untuk menjaga semangat dan kekompakan tim.

Satu dari lima gol Indonesia terjadi lewat gol bunuh diri pemain Malaysia, Mohd Asraruddin Putra Bin Omar. Sisanya disumbangkan oleh pemain Merah Putih yakni Cristian 'El Loco' Gonzales di menit 33, Muhammad Ridwan ('52), Arif Suyono ('76) dan Irfan Bachdim ('90+4)

Tim Merah Putih untuk sementara memimpin Grup A dengan poin tiga. Di pertandingan sebelumnya, Thailand hanya mampu bermain imbang 2-2 dengan Laos. Sedangkan Malaysia harus rela menghuni juru kunci.

Indonesia ganyang Malaysia

Mengagumkan dan mengesankan mungkin dua kata itu belum cukup untuk mewakili penampilan Timnas Indonesia pada pertandingan di laga perdana AFF 2010 antara Indonesia dan Malaysia malam ini. Meskipun tertinggal lebih dulu di menit ke-18 oleh gol pemain terbaik asal Malaysia Norshahrul Idlan bin Talaha. Indonesia dapat menyamakan keduidukan pada menit 21 melalui gol bunuh diri pemain Malaysia Mohamad Asrarudin.

Setelah berhasil menyamakan kedudukan Indonesia langsung menguasai pertandingan, gol kembali dicetak oleh pemain debutan Christian Gonzales di menit 33 dengan plesing pelan ke sudut kiri gawang Malaysia. Lalu di menit 55 Indonesia menjebol gawang Malaysia lewat aksi Muhammad Ridwan. Gol tercipta setelah M Ridwan berhasil memanfaatkan umpan terobosan di kotak penalti Malaysia. Tanpa kesulitan bek Sriwijaya FC ini berhasil menaklukkan kiper Malaysia Sharbinee Allawee.

Arif yang belum tiga menit masuk lapangan dengan jeli bisa menyerobot bola. Dengan sedikit kontrol ia mengubah kedudukan menjadi 4-1. Dan akhirnya permainan atraktif dari Irfan Bachdim dapat dijawabnya dengna menyumbang satu gol pada menit 90 dan menutup pertandingan tersebut.
Salut ditujukan kepada para pemain Timnas, dan berharap agar semangat tersebut tidak kendur.

Susunan Pemain

Indonesia (4-4-2): 1-Markus Harison; 2-Mohammad Nasuha, 3-Zulkifli Syukur, 5-Maman Abdurahman, 23-Hamka Hamzah; 10-Oktovianus Maniani, 15-Firman Utina (c); 19-Ahmad Bustomi (6-Tony Sucipto 74'), 22-Muhamad Ridwan (14-Arif Suyono 74'); 9-Cristian Gonzales, 17-Irfan Bachdim

Malaysia (4-4-2): 28-Mohd Sharbinee Allawee Bin Ramli; 4-Mohd Asrarudin Putra Bin Omar (2-Mohd Sabre Bin Mat Abu 80'), 6-Mohd Razman Bin Roslan (Mohamad Ashari Bin Samsudin 63'), 24-Mohamad Muslim Bin Ahmad, 27-Mohamad Fadhli Bin Mohd Shas; 8-Safiq Bin Rahim (Amar Bin Rohidan 63'), 16-Kunanlan Subramaniam, 17-Mohd Amri Bin Yahyah, 21-Mohd Aamirulhadi Bin Zainal; 9-Norshahrul Idlan Bin Talaha, 10-Mohd Safee Bin Mohd Sali

Jersey Timnas Indonesia

Ini adalah jersey terbaru dari Timnas Indonesia, anda dapat melihat spesifikasi dari baju tersebut di bawah ini








Jika anda berminat dapat melakukan pemesanan via email: RendikaB@gmail.com